• 2022-06-11
    VHDL实体由实体说明语句(ENTITY)、()、()、()组成。
  • 类属说明语句(GENERIC);端口说明语句(PORT);结束语句(END)

    内容

    • 0

      在VHDL语言中,下列属于实体描述关键字的是:。 A: port B: library C: entity D: architecture

    • 1

      VHDL 的实体说明部分用来描述设计实体的输入输出端口,是设计实体中不可见的部分。

    • 2

      VHDL程序中,()主要用于描述设计实体的结构或设计实体的行为,从功能上描述了设计实体, A: 库(LIBRARY) B: 程序包(PACKAGE) C: 实体(ENTITY) D: 结构体(ARCHITECTURE) E: 配置(CONFIGURATION) F: 子程序

    • 3

      什么是实体(entity)?

    • 4

      下列关于VHDL语言描述正确的是 A: VHDL语言的基本结构由库和程序包、实体、结构体组成 B: 在VHDL语言的基本结构中,实体可有可无 C: 在VHDL语言的基本结构中,实体名必须和结构体名保持一致 D: 在VHDL语言的基本结构中,结构体可有可无