• 2022-06-09
    module CNT4(CLK,Q); output [3:0] Q; input CLK;reg ____ Q1 ; always @(posedge CLK)Q1 = Q1+1 ;assign Q = Q1; endmodule空格处应该填入:
    A: reg
    B: CLK
    C: Q1
    D: [3:0]
  • D

    内容

    • 0

      下面是一计数器的VerilogHDL程序,计数器的模( )。module Cnt4 (ClK, Q) ; output &#91;3:0&#93; Q ; input ClK ; reg&#91;3:0&#93; Q1; always @ (posedge CLK) Q1<=Q1+1; assign Q=Q1;endmodule A: 2 B: 4 C: 8 D: 16

    • 1

      下列程序中的空格应为:。module CNT4 (CLK,Q);‏output &#91;3:0&#93; Q; input CLK;‏reg &#91;3:0&#93; Q ;‏always @(posedge ____)‏Q <;= Q+1 ;‏endmodule A: [3:1] B: CLK C: output D: Q

    • 2

      下面是一计数器的VerilogHDL程序,计数器的模( )。module Cnt4 (ClK, Q) ; output &#91;3:0&#93; Q ; input ClK ; reg&#91;3:0&#93; Q1; always @ (posedge CLK) Q1&lt;=Q1+1; assign Q=Q1;endmodule A: 2 B: 4 C: 8 D: 16

    • 3

      ‌下面是简单4位二进制计数器程序,修改第( )行可以改为8位二进制计数器?‎‌1 module Cnt4 (ClK, Q) ;‎‌2 output &#91;3:0&#93; Q ;‎‌3 input ClK ;‎‌4 reg&#91;3:0&#93; Q1;‎‌5 always @ (posedge ClK)‎‌6 Q1<;=Q1+1;‎‌7 assign Q=Q1;‎‌8 endmodule‎ A: 2 B: 6 C: 2和4 D: 2和6

    • 4

      基本锁存器module LATCH1(CLK,D,Q);output Q ; input CLK,D;reg Q;always @(D or ____) if(CLK) Q <= D;endmodule空格处应该填入: A: 1 B: 0 C: Q D: CLK